天天被操天天被操综合网,亚洲黄色一区二区三区性色,国产成人精品日本亚洲11,欧美zozo另类特级,www.黄片视频在线播放,啪啪网站永久免费看,特别一级a免费大片视频网站

現(xiàn)在位置:范文先生網(wǎng)>理工論文>電子通信論文>基于FPGA的四階IIR數(shù)字濾波器

基于FPGA的四階IIR數(shù)字濾波器

時間:2023-02-21 00:06:34 電子通信論文 我要投稿
  • 相關(guān)推薦

基于FPGA的四階IIR數(shù)字濾波器

摘要:采用FPGA實現(xiàn)四階IIR數(shù)字濾波器,通過兩個二階節(jié)級聯(lián)構(gòu)成數(shù)字橢圓低通濾波器。通帶內(nèi)波紋小于0.1dB,阻帶衰減大于32dB。
  關(guān)鍵詞:四階IIR橢圓濾波器補碼陣列乘法器
  
  常用的數(shù)字濾波器有FIR數(shù)字濾波器和IIR數(shù)字濾波器。FIR數(shù)字濾波器具有精確的線性相位特性,在信號處理方面應(yīng)用極為廣泛,而且可以采用事先設(shè)計調(diào)試好的FIR數(shù)字濾波器IPCore來完成設(shè)計,例如Altera公司提供的針對Altera系列可編程器件的MegaCore,但是需要向Altera公司購買或申請試用版。另外,對于相同的設(shè)計指標(biāo),FIR濾波器所要求的階數(shù)比IIR濾波器高5~10倍,成本較高,而且信號的延遲也較大。IIR濾波器所要求的階數(shù)不僅比FIR濾波器低,而且可以利用模擬濾波器的設(shè)計成果,設(shè)計工作量相對較小,采用FPGA實現(xiàn)的IIR濾波器同樣具有多種優(yōu)越性。
  
 。桑桑覟V波器主要有巴特沃斯濾波器、切比雪夫濾波器和橢圓濾波器幾種。給出了以上三種濾波器實現(xiàn)同樣性能指標(biāo)所需的階數(shù)及阻帶衰減的比較,如表1所示。
  
  表1三種濾波器的性能比較
  
  原型階數(shù)阻帶衰減/dB巴特沃斯615切比雪夫I型425橢圓函數(shù)327
  由表1可見,橢圓濾波器給出的設(shè)計階數(shù)比前兩種低,而且頻率特性較好,過渡帶較窄,但是橢圓濾波器在通帶上的非線性相位響應(yīng)最明顯。本系統(tǒng)選用橢圓函數(shù)濾波器進行設(shè)計。
  
  1原理分析
  
  數(shù)字濾波器實際上是一個采用有限精度算法實現(xiàn)的線性非時變離散系統(tǒng),它的設(shè)計步驟為:首先根據(jù)實際需要確定其性能指標(biāo),再求得系統(tǒng)函數(shù)H(z),最后采用有限精度算法實現(xiàn)。
  
  根據(jù)需要,本系統(tǒng)的設(shè)計指標(biāo)為:模擬信號采樣頻率為2MHz,每周期最少采樣20點,即模擬信號的通帶邊緣頻率為fp=100kHz,阻帶邊緣頻率fs=1MHz,通帶波動Rp不大于0.1dB(通帶誤差不大于5%),阻帶衰減As不小于32dB。換算為數(shù)字域指標(biāo)為:Wp=0.1π,Ws=0.2π,Rp=0.1dB,As=32dB。系統(tǒng)函數(shù)H(z)的計算采用Matlab軟件比較方便,其中有兩個現(xiàn)成的函數(shù)可以使用:ellipord(wp/pi,ws/pi,Rp,As)函數(shù)用來計算數(shù)字橢圓濾波器的階次N和3dB截止頻率wn,而ellip(N,Rp,As,wn)函數(shù)可以求得直接型橢圓IIR濾波器的各個系數(shù)。通過調(diào)用以上兩個函數(shù)計算得到的系統(tǒng)函數(shù)H(z)為:
  
  
  
  這是一個四階IIR系統(tǒng),Matlab計算出該系統(tǒng)的頻率響應(yīng)如圖1所示,可見滿足設(shè)計要求。
  
  如果采用直接型結(jié)構(gòu)實現(xiàn),需用的乘法器和延遲單元相對較多,而且分子和分母的系數(shù)相差較大,需要較多的二進制位數(shù)才能實現(xiàn)相應(yīng)的精度要求。
  
  如果采用二階節(jié)級聯(lián)實現(xiàn),一來各基本節(jié)的零點、極點可以很方便地單獨進行調(diào)整,二來可以降低對二進制數(shù)位數(shù)的要求。給出了一個直接型結(jié)構(gòu)轉(zhuǎn)為級聯(lián)型結(jié)構(gòu)的dir2cas.m文件,利用該函數(shù)求得系統(tǒng)函數(shù)的級聯(lián)表達(dá)形式為:
  
 。龋ǎ剑龋保ǎ粒龋玻ǎ剑0.11-0.1041z-1+0.11z-2)/(1-1.58z-1+0.6469z-2)×(0.2464-0.426z-1+0.2464z-2)/(1-1.7753z-1+0.892z-2)
  
  由上式可以看出,每個二階節(jié)的分子、分母系數(shù)差異減少了。值得注意的是,在分配二階節(jié)的增益時,要保證每個節(jié)不會發(fā)生運算溢出,可以先用Matlab軟件分析計算來合理安排各節(jié)的增益。經(jīng)過計算,本文采用第一級分配0.11,第二級分配0.2464,可以保證在要求的輸入范圍,沒有數(shù)據(jù)溢出發(fā)生。
  
 。蚕到y(tǒng)實現(xiàn)
  
  將第一個二階節(jié)的系統(tǒng)函數(shù)表示為差分方程:
  
 。(n)=a0x(n)-a1x(n-1)+a2x(n)+b0y(n-1)-b1y(n-2)
  
 。剑埃保保(n)-0.1041x(n-1)+0.11x(n)+1.58y(n-1)-0.6469y(n-2)
  
  可以看出,一個二階節(jié)的實現(xiàn)需要五次乘法運算、四次加法運算(采用二進制補碼將減法運算變?yōu)榧臃ㄟ\算)。兩個二階節(jié)共需要十次乘法運算。雖然現(xiàn)在已有上千萬門的FPGA產(chǎn)品可供選用,但是一般應(yīng)用時全部采用硬件陣列乘法器畢竟不太合適,而如果采用串行乘法器進行分時復(fù)用,其工作速度也不太理想。
  
  本文采用一個折中的方法實現(xiàn),即乘加單元(MAC)的乘法器采用陣列乘法器,而不使用串行乘法器,以提高運算速度。需要注意的是,MAX+plusⅡ的LPM庫中乘法運算為無符號數(shù)的陣列乘法,所以使用時需要先將兩個補碼乘數(shù)轉(zhuǎn)換為無符號數(shù)相乘后,再將乘積轉(zhuǎn)換為補碼乘積輸出。每個二階節(jié)完成一次運算共需要6個時鐘周期,而且需采用各自獨立的MAC實現(xiàn)兩級流水線結(jié)構(gòu),即每個數(shù)據(jù)經(jīng)過兩個二階節(jié)輸出只需要6個時鐘周期。
  
  2.1系統(tǒng)原理框圖
  
  系統(tǒng)原理框圖如圖2所示,模擬信號經(jīng)過TLC5510轉(zhuǎn)換為00H~FFH的二進制數(shù)后,送入四階IIR低通濾波器,處理后輸出10位二進制數(shù)送AD7520得到雙極性的模擬電壓輸出。
  
  圖3四階IIR濾波器的頂層原理圖
  
 。玻岔攲樱桑桑夷K
  
  頂層IIR模塊如圖3所示。主要由一個時序控制模塊IIRC、兩個IIR二階節(jié)模塊(IIR1和IIR2)構(gòu)成。IIR模塊設(shè)計為10位二進制補碼輸入,最高位ad9為補碼符號位,次高位ad8用于防止運算時的溢出?梢娫摚桑桑夷K實際可以輸入9位二進制補碼數(shù),但TLC5510的輸出數(shù)據(jù)為8位,輸入到IIR模塊時,將ad9和ad8引腳均接地,即輸入為正極性電壓。
  
 。悖欤蜉斎攵藶楫惒角辶愣耍唠娖接行。當(dāng)輸入時鐘clk為12MHz時,IIR模塊產(chǎn)生一個頻率為2MHz的clk_ad輸出時鐘提供給TLC5510。輸出數(shù)據(jù)dout為10位二進制補碼。IIR1和IIR2模塊構(gòu)成級聯(lián)結(jié)構(gòu)。
  
 。玻常桑桑遥焙停桑桑遥材K
  
 。桑桑遥、IIR2模塊主要由兩個模塊構(gòu)成,一個是數(shù)據(jù)移位模塊,在CLK_R時鐘作用下將差分方程的各x、y值延遲一個時鐘;另一個模塊是補碼乘加單元,用VHDL語言編寫,兩個乘數(shù)先取補后再進行陣列乘法,在CLK_B時鐘控制下完成一次乘加運算,乘積取補后輸出,共需要6個時鐘。
  
  差分方程的各系數(shù)如表2所示,采用10位定點純小數(shù)補碼表示。
  
  表2二階差分方程的系數(shù)
  
  系數(shù)a0a1a2b0b1IIR101CH3E6H01CH194H35BHIIR203FH393H03FH1C6H31CH
  另外?熏模塊中的五個系數(shù)定義為常數(shù),以節(jié)省硬件資源,并且采用0舍1入法進行數(shù)據(jù)處理,盡量提高數(shù)據(jù)運算精度。VHDL程序如下:
  
 。澹睿簦椋簦螅恚酰欤簦幔洌洌保椋
  
 。穑铮颍(clk_regbt,clk_reg:instd_logic:
  
 。,x1,x2,y0,y1:instd_logic_vector(9downto0);
  
 。铮酰:outstd_logic_vector(9downto0));
  
 。澹睿洌螅恚酰欤簦幔洌洌;
  
 。幔颍悖瑁椋簦澹悖簦酰颍澹猓澹瑁幔觯铮妫螅恚酰欤簦幔洌洌保椋
  
 。螅椋纾睿幔欤簦幔,tbn,tp2n:std_logic;
  
 。螅椋纾睿幔欤悖睿:std_logic_vector(2downto0);
  
  signalta,tb,taa,tbb:std_logic_vector(8downto0);
  
 。螅椋纾睿幔欤簦恚穑,tmpb:std_logic_vector(9downto0);
  
 。螅椋纾睿幔欤簦:std_logic_vector(18downto0);
  
  signaltpp:std_logic_vector,22downto0);
  
 。螅椋纾睿幔欤簦恚,p:std_logic_vector(23downto0);
  
 。悖铮睿螅簦幔睿簦幔:std_logic_vector(9downto0:=“0000011100”
  
  (其余常數(shù)說明略)
  
 。猓澹纾椋
  
 。簦穑玻睿迹剑簦幔睿铮颍簦猓;--求補后送陣列乘法器
  
  taa<=notta+‘1’when(tan=‘1’)elseta;
  
 。簦猓猓迹剑睿铮簦簦猓薄鳎瑁澹(tbn=‘1’)elsetb;
  
  tpp<=‘1’&‘1’&‘1’&‘1’&nottp+‘1’when(tp2n=‘1’)elsetp;
  
 。簦恚穑幔迹剑幔埃鳎瑁澹睿悖睿簦剑埃澹欤螅
  
  a1whencnt=1else
  
 。幔玻鳎瑁澹睿悖睿簦剑玻澹欤螅
  
  b0whencnt=3else
  
 。猓保鳎瑁澹睿悖睿簦剑矗澹欤螅(others=>‘0’);
  
  tmpb<=x0whencnt=0else
  
 。保鳎瑁澹睿悖睿簦剑保澹欤螅
  
 。玻鳎瑁澹睿悖睿簦剑玻澹欤螅
  
 。埃鳎瑁澹睿悖睿簦剑常澹欤螅
  
  y1whencnt=4else(others=>‘0’);
  
 。簦幔迹剑簦恚穑(8downto0);tb<=tmpb(8downto0);
  
 。簦幔睿迹剑簦恚穑(9);tbn<=tmpb(9);
  
 。簦穑迹剑簦幔*tbb;
  
  p<=(others=>‘0’)when(tmpb=“0000000000”)else
  
 。簦穑玻睿Γ簦穑;
  
  process(clk_reg,clk_regbt)
  
 。猓澹纾椋
  
 。椋妫悖欤耄撸颍澹纾健薄簦瑁澹睿悖睿簦迹健埃埃埃啊;ytmp<=(others=>‘0’);
  
 。澹欤螅椋(clk_regbt’eventandclk_regbt=‘1’)then
  
  ifcnt<5thencnt<=cnt+1;ytmp<=ytmp+p;
  
 。澹欤螅椋(cnt=5)then
  
  ifytmp(7)=‘1’then
  
 。铮酰(8downto0)<=ytmp(16downto8)+1;
  
 。铮酰(9)<=ytmp(23);
  
  elseyout(8downto0)<=ytmp(16downto8);
  
  yout(9)<=ytmp(23);endif;
  
 。澹睿洌椋;
  
 。澹睿洌椋;
  
 。澹睿洌穑颍铮悖澹螅螅
  
 。澹睿洌猓澹瑁幔;
  
 。桑桑遥材K的輸出數(shù)據(jù)采用將補碼最高符號位直接取反轉(zhuǎn)換為移碼后,就可以送到DAC7520實現(xiàn)雙極性信號輸出。
  
 。诚到y(tǒng)性能測試
  
  系統(tǒng)性能的測試采用單極性方波周期信號作為輸入信號。信號的頻率為100kHz,在采樣頻率為2MHz時,每個周期采樣20個點,換算成數(shù)字域頻率為0.1π,其二次諧波的數(shù)字頻率為0.2π。輸入到TLC5510的信號電壓幅度為0~2V,則經(jīng)過A/D轉(zhuǎn)換后的輸出為00H~FFH。由于低通濾波器的阻帶截止頻率選在200kHz,衰減32dB,由信號理論分析可知,周期方波信號沒有二次諧波,所以對三次諧波的衰減經(jīng)過IIR濾波器后輸出有直流分量的基波(頻率為100kHz)正弦信號。理論計算給出的方波周期信號基波幅度為:
  
  2E/π=(2×255)/π=162.34
  
  輸入一個周期的數(shù)據(jù),Matlab的計算值與MAX+plusⅡ的仿真值如表3所示。
  
  表3濾波后輸出的數(shù)據(jù)
  
  輸入數(shù)據(jù)255255255255255255255255255255計算值28.7-8.2-29.4-34.9-25.2-1.334.880.0130.5182.0仿真值321020999993100213680129179輸入數(shù)據(jù)0000000000計算值223.4260.2281.4286.9277.2253.2217.1172.0121.570.1仿真值21925527628227325021517112272
  由表3可見,仿真輸出值為補碼,谷點輸出值993換算成符號數(shù)為993-1024=-31。Matlab軟件計算的滿度輸出值為286.9,其基波幅度為[286.9-(-34.9)]/2=160.9,與理論值的誤差為:
  
  (160.9-162.34)/162.34=-0.87%
  
  四階IIR濾波器實現(xiàn)的滿度輸出值為[282-(-31)]/2=156.5,與理論值的誤差為:
  
 。156.5-162.34)/162.34=-3.6%
  
  這是由于有限精度算法所引起的誤差,可以通過增加二進制位數(shù)來提高系統(tǒng)的運算精度。圖4給出單極性方波信號的前三個周期經(jīng)過濾波后得到的含直流分量的輸出波形,其中實線為Matlab的計算值,“*”為MAX+plusⅡ的仿真輸出。可見,該四階級聯(lián)IIR濾波器達(dá)到了設(shè)計要求。
  
  如果改變?yōu)V波器的輸入時鐘頻率,則可以改變?yōu)V波器的截止頻率。另外如果輸入無直流分量的周期信號,而且其頻率為采樣頻率的1/20,則該低通濾波器可以直接得到基波分量輸出。其實,要將TLC5510輸出的直流分量濾出很容易,只需利用FPGA做一個減法運算即可。
  

【基于FPGA的四階IIR數(shù)字濾波器】相關(guān)文章:

基于FPGA的快速傅立葉變換08-06

基于FPGA的智能誤碼測試儀08-06

基于FPGA的電子穩(wěn)像平臺的研究08-06

基于FPGA的高頻時鐘的分頻和分配設(shè)計08-06

基于FPGA的高速高精度頻率測量的研究08-06

基于FPGA實現(xiàn)FIR濾波器的研究08-06

FIR數(shù)字濾波器分布式算法的原理及FPGA實現(xiàn)08-06

基于FPGA的USB2.0控制器設(shè)計08-06

基于CPLD/FPGA的出租車計費器08-06