天天被操天天被操综合网,亚洲黄色一区二区三区性色,国产成人精品日本亚洲11,欧美zozo另类特级,www.黄片视频在线播放,啪啪网站永久免费看,特别一级a免费大片视频网站

現(xiàn)在位置:范文先生網(wǎng)>理工論文>電子通信論文>基于DSP和FPGA的ARINC429機載總線接口板的硬件設(shè)計

基于DSP和FPGA的ARINC429機載總線接口板的硬件設(shè)計

時間:2023-02-21 00:11:04 電子通信論文 我要投稿
  • 相關(guān)推薦

基于DSP和FPGA的ARINC429機載總線接口板的硬件設(shè)計

摘要:介紹了民用飛機機載數(shù)據(jù)總線ARINC429的硬件接口板,該接口板采用DSP和FPGA實現(xiàn)四種ARINC429信號收發(fā)通道,使整個系統(tǒng)的處理速度大大提高。

    關(guān)鍵詞:ARINC429總線 DSP FPGA

機載數(shù)據(jù)總線ARINC429在當代的運輸機和相當數(shù)量的民航客機(如A310、A300、A600、B757、B767)中有著廣泛的應(yīng)用。目前國內(nèi)對ARINC429總線接口板的設(shè)計一般都是基于HARRIS公司的HS3282芯片完成的,它的缺點是路數(shù)有限、非常不靈活。因此對ARINC429總線接口板的研制,實現(xiàn)多通道ARINC429總線數(shù)據(jù)的接收和發(fā)送,成為目前對飛機載總線接口研究的重點,具有非常重要的現(xiàn)實意義和應(yīng)用前景。

1 ARINC429總線簡介

在現(xiàn)代民用飛機上,系統(tǒng)與系統(tǒng)之間、系統(tǒng)與部件之間需要傳輸大量信息。ARINC規(guī)范就是為了在航空電子設(shè)備之間傳輸數(shù)字數(shù)據(jù)信息而制定的一個航空運輸?shù)墓I(yè)標準。
(范文先生網(wǎng)www.gymyzhishaji.com收集整理)
    ARINC429(以下簡稱429)總線采用雙絞屏蔽線傳輸信息,通過一對雙絞線反相傳輸,具有很強的抗干擾能力。而調(diào)制方式則采用雙極歸零制的三態(tài)碼方式,即信息由“高”、“零”和“低”狀態(tài)組成的三電平狀態(tài)調(diào)制。429電纜上的信號及經(jīng)電平轉(zhuǎn)換后的信號如圖1所示。429總線每一個字為32位,它的字同步是以傳輸周期至少4位的時間間隔也就是4位碼字為基準的。

2 系統(tǒng)總體方案

429總線接口板的主要功能是在429信號及相關(guān)外設(shè)之間起到橋梁作用,它既能接收雙極歸零制的429信號并將其轉(zhuǎn)換為數(shù)字信號送入計算機或其它設(shè)備,又可將計算機或其它設(shè)備發(fā)出的數(shù)字信號轉(zhuǎn)換為429信號輸出。本文介紹的總線接口板采用FPGA和DSP實現(xiàn)四路429信號接收通道和四路429信號發(fā)送通道,且每路通道之間相互獨立。在這個接口板中,每兩個數(shù)據(jù)字之間的時間間隔可調(diào),每一個收發(fā)通道能單獨定義字間隔長度,每個通道校驗方式可單獨定義為奇校驗或偶校驗,數(shù)據(jù)發(fā)送可以選擇單幀發(fā)送或自動自復(fù)發(fā)送(重復(fù)發(fā)送某一幀)。

整個接口板由調(diào)制電路、解調(diào)電路、FPGA、DSP和雙口RAM組成,如圖2所示。

3 硬件電路設(shè)計

3.1 調(diào)制解調(diào)電路設(shè)計

429信號進入接口板后,首先要把429信號轉(zhuǎn)換為數(shù)字電路可以識別的TTL電平。這里采用HOLT公司的HI-8482實現(xiàn)信號的解調(diào),將標準的429總線信號轉(zhuǎn)換成5V TTL數(shù)字信號。為了降低干擾,在429總線信號的四個輸入管腳分別接入39pF高精度軍品電容;采用HOLT公司的HI-8585芯片實現(xiàn)信號的調(diào)制,將TTL數(shù)字電平轉(zhuǎn)換為標準的429信號。

3.2 FPGA內(nèi)部邏輯設(shè)計

按照429信號的編碼格式、特點、傳輸規(guī)則以及協(xié)議要求,選用一片ALTERA公司的ACEX1K型的FPGA發(fā)送和接收四路數(shù)據(jù)。每一路分為接收部分和發(fā)送部分。

圖3

    接收部分的主要作用是通過串/并轉(zhuǎn)換將串行數(shù)據(jù)轉(zhuǎn)換為32位并行數(shù)據(jù),并對收到的數(shù)據(jù)自動實時差錯控制。對于字間隔、位間隔出錯等錯誤能進行自動檢測,若無錯誤,則將數(shù)據(jù)分兩次送至DSP的16位數(shù)據(jù)總線上,以供讀取。接收模塊結(jié)構(gòu)框圖如圖3所示。

發(fā)送送的主要功能是將DSP送入的數(shù)據(jù)暫存在FPGA內(nèi)部的FIFO中,等待發(fā)送命令。一旦接收發(fā)送控制指令,F(xiàn)IFO輸出數(shù)據(jù)并通過并/串轉(zhuǎn)換將并行數(shù)據(jù)轉(zhuǎn)換為串行數(shù)據(jù),同時加入預(yù)先設(shè)定的間隔。用戶可通過寫控制寄存器選擇發(fā)送模式(即單幀發(fā)送或自動重復(fù)發(fā)送)、發(fā)送通道延遲設(shè)定、發(fā)送通道字間隔設(shè)定,還可通過讀取狀態(tài)位檢查它的工作狀態(tài)(發(fā)送緩沖器空、發(fā)送緩沖器滿和是否正在發(fā)送)。發(fā)送模塊結(jié)構(gòu)框圖如圖4所示。

以上介紹的只是一路發(fā)送通道和接收通道,由于本系統(tǒng)共有四種獨立的發(fā)送通道和四路獨立的接收通道,故在FPGA中需設(shè)置四個接收模塊和四個發(fā)送模塊,通過DSP的地址線來選取其中的一路發(fā)送通道或接收通道。

 &nb

sp;  FPGA內(nèi)部結(jié)構(gòu)是基于SRAM的,因此需要一片配置芯片固化內(nèi)部邏輯。為了便于調(diào)試,采用JTAG模式和被動串行模式(PS)兩種配置模式,調(diào)試時使用JTAG模式直接將邏輯寫入FPGA內(nèi)部,調(diào)試好后再用PS模式將程序?qū)懭肱渲眯酒。通過對FPGA和配置芯片上的引腳進行跳線,可選擇不同的配置方式。跳線電路如圖5所示。

FPGA作為DSP的一個I/O外設(shè),必須要對它的寄存器地址統(tǒng)一編址。在此將FPGA編址在DSP的I/O空間。由于FPGA的接收通道和發(fā)送通道的數(shù)據(jù)寄存器可以占用一個地址。

3.3 DSP與FPGA及外部設(shè)置的通信

在整個系統(tǒng)的設(shè)計中,DSP主要用于控制FPGA工作、數(shù)據(jù)中轉(zhuǎn)、與外設(shè)主機通信。DSP是整個系統(tǒng)的中樞,控制各個部分協(xié)調(diào)工作。利用DSP向FPGA寫控制字,其中包含幀間隔長度大小等信息,可對FPGA進行控制;另外,根據(jù)FPGA的反饋狀態(tài),可倒入出相應(yīng)的控制調(diào)整。考慮到用于控制FPGA的I/O口比較多,選用的DSP是TI公司的TMSLF2407A。TMSLF2407A的復(fù)用外圍I/O口多達39個,圖6是DSP與FPGA之間的具體連接。

DSP提供I/O操作信號/IS、讀寫選定信號R/W、讀使能信號/RD、寫使能信號/WE以及地址線低四位A0、A1、A2、A3。通過這些控制邏輯信號可區(qū)分四路通道及每路通道的高低字。

    DSP與FPGA提供的其它輔助的控制和狀態(tài)信號還包括:四路發(fā)送使能信號/ENTX[0..3],低電平有效;四路發(fā)送停止信號/TXT[0..3],低電平有效;四路接收通道清零信號/CHACLRN[0..3],低電平有效;接收數(shù)據(jù)到達信號/RER[0..3],用于告知DSP準備接收某一路通道已經(jīng)到達的數(shù)據(jù);發(fā)送數(shù)據(jù)準備好信號/TXR[0..3]信號,用于告知各個發(fā)送通道中是否還有未發(fā)出的數(shù)據(jù)暫存在FIFO里,低電平表示沒有數(shù)據(jù);發(fā)送通道FIFO滿信號FUL[0..3],高電平有效;CLOBCLRN信號,用于FPGA初始化時對其內(nèi)部進行全部清零;TESTREQ信號,用于對整個系統(tǒng)的自檢。

    整個電路板是通過雙口RAM與外設(shè)主機進行通信的,雙口RAM負責暫存外設(shè)要發(fā)送的數(shù)據(jù)和暫存FPGA處理過的數(shù)據(jù)?砂阉笾路譃8個區(qū),每一個區(qū)負責存放四路接收通道和四路發(fā)送通道中的一路數(shù)據(jù)及控制字。利用雙口RAM左右兩中斷的信箱可指揮接口板進行相應(yīng)的操作。

4 軟件設(shè)計

軟件的設(shè)計主要是DSP編程,DSP程序的主要任務(wù)就是初始化、管理DSP外圍電路、控制FPGA的收發(fā)數(shù)據(jù)以及與外設(shè)交互。DSP的主程序流程圖如圖7所示。

圖7

    整個接口電路板調(diào)試通過后,經(jīng)過測試可以同時接收和發(fā)送四路ARINC429信號。這就解決了以后接口電路板通道數(shù)太少的瓶頸。利用FPGA密度高、結(jié)構(gòu)靈活、設(shè)計時間短和可編程的優(yōu)點,實現(xiàn)了對某路ARINC429信號的獨立處理,再加上TI公司2000系列DSP豐富的I/O接口和較普通單片機更快的速度,實現(xiàn)了對FPGA的控制管理及與外設(shè)的通信。因此本系統(tǒng)對當今民用飛機機載數(shù)據(jù)通信總線互聯(lián)提供了一些新型、先進的方法,具有相當普遍的實用意義。


【基于DSP和FPGA的ARINC429機載總線接口板的硬件設(shè)計】相關(guān)文章:

基于DSP與CPLD的I2C總線接口的設(shè)計與實現(xiàn)08-06

基于DSP平臺的USB接口設(shè)計08-06

PCI總線和DSP芯片的圖像處理平臺的硬件設(shè)計08-06

基于PCI總線加密卡硬件設(shè)計08-06

基于FPGA的總線型LVDS通信系統(tǒng)設(shè)計08-06

CAN總線控制器與DSP的接口08-06

基于FPGA的機載合成孔徑雷達數(shù)字信號處理機接口板卡的設(shè)計與實現(xiàn)08-06

基于DSP的上網(wǎng)方案的軟硬件設(shè)計與實現(xiàn)08-06

基于串行外設(shè)接口(SPI)的CAN總線隔離擴展設(shè)計08-06